User:Gnulpf

From Wikipedia, the free encyclopedia

VHDL[edit]

Hi Gnulpf, and welcome to Wikipedia. I reverted your edit "VHDL is not case insensitive", because according to my information it was not true. I admit that there is one small exception: extended identifiers, which I never use, but perhaps you do, are case-sensitive. If you wish to dispute this, please contact me and I'll be happy to discuss it. -- Heron 13:33, 30 Jul 2004 (UTC)