Altera

From Wikipedia, the free encyclopedia
Altera Corporation
IndustryIntegrated circuits
FoundedJune 1983; 40 years ago (June 1983)
HeadquartersSan Jose, California, United States
Key people
John P. Daane (Chairman, President, & CEO)
Ronald J. Pasek (CFO)
ProductsFPGAs
CPLDs
Embedded systems
ASICs
RevenueIncrease $1.932 billion (2014)
Increase $472 million (2014)
Total assetsDecrease $5.674 billion (2014)
Total equityDecrease $3.285 billion (2014)
Number of employees
3,091 (2014)
Footnotes / references
[1]

Altera Corporation is a manufacturer of programmable logic devices (PLDs) headquartered in San Jose, California. It was founded in 1983 and acquired by Intel in 2015 before becoming independent once again in 2024.

The main product lines from Altera are the Agilex FPGA product lines, and their predecessors: the high-end Stratix series, mid-range Arria series,[2] and lower-cost Cyclone series system on a chip field-programmable gate arrays (FPGAs); as well as the MAX series complex programmable logic device and non-volatile FPGAs; Quartus design software.[3][4]

The company was founded in 1983 by semiconductor veterans Rodney Smith, Robert Hartmann, James Sansbury, and Paul Newhagen with $500,000 in seed money. The name of the company was a play on "alterable", the type of chips the company created. In 1984, the company formed a long-running design partnership with Intel, and 1988, became a public company via an initial public offering (IPO). In 1994, Altera acquired the PLD business of Intel for $50 million.[5]

On December 28, 2015, the company was acquired by Intel and became the newly formed business unit called Programmable Solutions Group (PSG).[6] In October 2023, Intel announced it would be spinning off PSG into a separate company at the start of 2024, while maintaining majority ownership and intending to seek an IPO within three years.[7][8] In February 2024, Intel announced that the newly independent company would reestablish the Altera name and branding.[9]

Products[edit]

FPGAs[edit]

Cyclone III FPGA
FPGA Developer-board with Altera Cyclone V SE FPGA
Die shot of an Altera Max II FPGA.

The Stratix series FPGAs were the company's largest, highest bandwidth devices, with up to 1.1 million logic elements, integrated transceivers at up to 28 Gbit/s, up to 1.6 Tbit/s of serial switching capability, up to 1,840 GMACs of signal-processing performance, and up to 7× 72 DDR3 memory interfaces at 800 MHz.[10]

In September 2000, the company acquired Northwest Logic to expand its design services for delivery of complete system-on-chip solutions.[11]

In May 2013, Altera made available SDK for OpenCL, enabling software programmers to access the high-performance capabilities of programmable logic devices.[12]

System on a chip FPGAs[edit]

Beginning in December 2012, the company produced system on a chip FPGA devices using a fully depleted silicon on insulator (FDSOI) chip manufacturing process. These devices integrated FPGAs with full hard processor systems based around ARM architecture onto a single device.[13][14]

PowerSoC[edit]

In May 2013, Altera acquired embedded power chipmaker Enpirion for approximately $140 million in cash, providing Altera with power system on a chip DC-DC converters that enabled greater power densities and lower noise performance compared with their discrete equivalent. Unlike converters made from discrete components, Enpirion DC-DC converters were simulated, characterized, validated and production qualified at delivery.[15]

Application-specific integrated circuits (ASICs)[edit]

Altera offered a publicly available ASIC design flow based on HardCopy ASICs, which transitioned an FPGA design, once finalized, to a form which is not alterable. This design flow reduced design security risks as well as costs for higher volume production. Design engineers could prototype their designs in Stratix series FPGAs, and then migrate these designs to HardCopy ASICs when they were ready for volume production.

The unique design flow made hardware/software co-design and co-verification possible. The flow was benchmarked to deliver systems to market 9 to 12 months faster, on average, than with standard-cell solutions. Design engineers were able to employ a single RTL, set of intellectual property (IP) cores, and Quartus II design software for both FPGA and ASIC implementations. Altera's HardCopy Design Center managed test insertion.

In 2007, Altera's Nios II FPGA soft processor core became available for standard cell ASIC designs.[16]

Semiconductor intellectual property cores[edit]

Altera and its partners offered an array of semiconductor intellectual property cores that served as building blocks that design engineers can drop into their system designs to perform specific functions. IP cores eliminate some of the time-consuming tasks of creating every block in a design from scratch. In 2000, Altera acquired Designpro, a provider of IP cores.[17]

Altera offered soft processor cores on the Nios II embedded processor, the Freescale ColdFire v1 core (free for Cyclone III FPGA), and the ARM Cortex-M1 processor as well as a hard IP processor core on the ARM Cortex-A9 processor.

Design software[edit]

All of Altera's devices are supported by a common design environment, Quartus II design software. Quartus II software was available in a subscription-based edition and a free Web-based edition. It included tools to foster productivity.

Technology[edit]

40-nm technology[edit]

In May 2008, Altera introduced the first 40-nm programmable logic devices: the Stratix IV FPGAs and HardCopy IV ASICs.[18] Both devices were available with integrated transceiver options.

In February 2009, the company introduced Stratix IV GT FPGAs, which had 11.3 Gbit/s transceivers for 40G/100G applications,[19] and Arria II GX FPGAs, which had 3.75 Gbit/s transceivers for power- and cost-sensitive applications.

Altera's devices were manufactured using techniques such as 193-nm immersion lithography and technologies such as extreme low-κ dielectrics and strained silicon.

28-nm technology[edit]

In April 2010, Altera introduced the FPGA industry's second 28-nm device, the Stratix V FPGA (to Xilinx's Kintex-7 FPGA), available with transceivers at speeds up to 28 Gbit/s. This device family has more than 1 million logic elements, up to 53 Mb of embedded memory, up to 7× 72 DDR3 DIMMs at 800 MHz, 1.6 Gbit/s LVDS performance, and up to 3,680 variable-precision DSP blocks.

In August 2011, Altera began shipping 28-nm Stratix V GT devices featuring 28-gigabits-per-second transceivers.[20][21]

Embedded HardCopy blocked harden standard or logic-intensive applications, increasing integration and delivering twice the density without a cost or power penalty. Altera developed a user-friendly method for partial reconfiguration, so core functionality can be changed easily and on the fly. There is a path to HardCopy V ASICs, when designs are ready for volume production. Altera's 28 nm FPGAs aimed to reduce power requirements to 200 mW per channel.[20] In 2004, the company began collaborating with Synopsys on HardCopy Structured ASICs.[22]

In December 2012, the company announced the shipment of its first 28 nm Cyclone V SoC devices, which had a dual-core ARM architecture Cortex-A9 processor system with FPGA logic on a single chip.[23] These SoCs were targeted for wireless communications, industrial, video surveillance, automotive and medical equipment markets. With these SoCs devices, users were able to create custom field-programmable SoC variants for power, board space, performance and cost optimization.[24]

14-nm technology[edit]

In February 2013, Altera announced an agreement with future parent company Intel to use Intel's foundry services to produce its 14-nm node for the future manufacturing of its FPGAs, based on Intel's 14 nm tri-gate transistor technology, in place of Altera's ongoing agreement with TSMC.[25]

In October 2016, nearly one year after Intel's integration with Altera, STRATIX 10 was announced, which is based on Intel's 14 nm Tri-Gate process.[26]

Restatement of financial results[edit]

On June 21, 2006, after an investigation by the U.S. Securities and Exchange Commission, the company restated its financial results from 1996 to 2005 to correct accounting errors related to options backdating. The chief financial officer of the company resigned.[27][28][29] Altera filed a petition to overturn related regulations but was, under Intel, denied in 2020.[30]

Acquisition by Intel[edit]

In December 2015, Intel acquired Altera for $16.7 billion in cash.[31][32] Altera became Intel's newly formed business unit called the Programmable Solutions Group (PSG).[6] The Altera brand was phased-out quickly after, with all of Altera's products being re-branded as Intel.[citation needed]

In October 2023, Intel announced it would be spinning off PSG into a separate company at the start of 2024, while maintaining majority ownership and intending to seek an IPO within three years.[7][8] In February 2024, Intel announced that the newly independent company would reestablish the Altera name and branding.[9]

See also[edit]

References[edit]

  1. ^ "Altera Corporation 2014 Form 10-K Annual Report". U.S. Securities and Exchange Commission.
  2. ^ "Arria 10 Device Overview" (PDF). Intel. September 4, 2013.
  3. ^ Maxfield, Clive (May 9, 2011). "Altera's Quartus II design software features Qsys System Integration Tool". EETimes.
  4. ^ Maxfield, Clive (November 7, 2011). "Latest and greatest Quartus II design software from Altera". EETimes.
  5. ^ "MERCHANT IC VENDORS" (PDF). Smithsonian Institution.
  6. ^ a b Darrow, Barb (December 28, 2015). "Altera Gives Intel a Hot Hand in Programmable Chips". Fortune. Retrieved 2023-08-24.
  7. ^ a b King, Ian (October 3, 2023). "Intel to Make Former Altera Into Standalone Business, Seek IPO". Bloomberg News.
  8. ^ a b Leswing, Kif (October 3, 2023). "Intel plans to IPO programmable chip unit within three years; stock rises after hours". CNBC.
  9. ^ a b "Intel Launches Altera, Its New Standalone FPGA Company". Intel. Retrieved 2024-02-29.
  10. ^ Pitcher, Graham (June 10, 2013). "Altera set to bring 'big performance boosts' to fpga users". New Electronics.
  11. ^ "Altera Buys System Design Firm". EE Times. September 12, 2000.
  12. ^ Maxfield, Clive (May 6, 2013). "Altera opens the FPGA world to software programmers". EE Times.
  13. ^ Maxfield, Clive (December 12, 2012). "Altera's shipping its first SoC FPGAs". EE Times.
  14. ^ Clarke, Peter (December 15, 2012). "Altera eyes FDSOI process for FPGAs". EE Times.
  15. ^ Clarke, Peter (May 14, 2013). "Altera to buy Enpirion for on-chip power conversion". EE Times.
  16. ^ Maxfield, Clive (November 13, 2007). "Altera's Nios II FPGA soft processor core now available for standard cell ASIC designs". EE Times.
  17. ^ "Altera Acquires Designpro". EE Times. May 2, 2000.
  18. ^ LaPedus, Mark (May 19, 2008). "Analyst comments on Altera's 40-nm FPGAs". EE Times.
  19. ^ "Altera Announces Stratix IV GT and Arria II GX FPGAs: Expands Industry's Broadest Integrated Transceiver Portfolio" (Press release). Business Wire. February 2, 2009.
  20. ^ a b "Altera ships Stratix V GT FPGAs". EE Times. August 24, 2011.
  21. ^ "Altera Shipping Industry's Fastest Backplane-capable Transceivers in 28-nm Stratix V FPGAs" (Press release). Business Wire. July 31, 2012.
  22. ^ "Altera Collaborates with Synopsys on HardCopy Structured ASICs" (Press release). Design Reuse. June 8, 2004.
  23. ^ McConnel, Toni (December 12, 2012). "Altera ships its first Cyclone V SoC devices". Embedded.
  24. ^ "Altera and ARM Announce Industry's First FPGA-Adaptive Embedded Software Toolkit" (Press release). Arm Holdings. December 12, 2012.
  25. ^ "Altera to Build Next-Generation, High-Performance FPGAs on Intel's 14 nm Tri-Gate Technology" (Press release). Intel. February 25, 2013.
  26. ^ Hruska, Joel (October 10, 2016). "Intel launches Stratix 10: Altera FPGA combined with ARM CPU, 14nm manufacturing". ExtremeTech.
  27. ^ "Altera Announces Expected Restatement Related to Stock-Based Compensation" (Press release). Business Wire. June 21, 2006.
  28. ^ McGrath, Dylan (June 21, 2006). "Altera to restate 10 years of earnings". EE Times.
  29. ^ Taub, Stephen (June 22, 2006). "Altera to Restate 10 Years of Financials". CFO.
  30. ^ "US Supreme Court declines to hear Altera case". Ernst & Young. June 22, 2020.
  31. ^ Clark, Don (December 28, 2015). "Intel Completes Acquisition of Altera". The Wall Street Journal.
  32. ^ Burt, Jeffrey (December 28, 2015). "Intel Completes $16.7 Billion Altera Deal". eWeek.